The browser you are using is not supported by this website. All versions of Internet Explorer are no longer supported, either by us or Microsoft (read more here: https://www.microsoft.com/en-us/microsoft-365/windows/end-of-ie-support).

Please use a modern browser to fully experience our website, such as the newest versions of Edge, Chrome, Firefox or Safari etc.

Measurement Point Selection for In-Operation Wear-Out Monitoring

Author

Summary, in English

In recent IC designs, the risk of early failure due to electromigration wear-out has increased due to reduced feature dimensions. To give a warning of impending failure, wearout monitoring approaches have included delay measurement circuitry on-chip. Due to the high cost of delay measurement circuitry this paper presents a method to reduce the number of necessary measurement points. The proposed method is based on identification of wear-out sensitive interconnects and selects a small number of measurement points that can be used to observe the state of all the wear-out sensitive interconnects. The method is demonstrated on ISCAS85 benchmark ICs with encouraging results.

Publishing year

2011

Language

English

Publication/Series

14th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS11)

Document type

Conference paper

Topic

  • Electrical Engineering, Electronic Engineering, Information Engineering

Conference name

14th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS11)

Conference date

2011-04-13 - 2011-04-15

Status

Published

ISBN/ISSN/Other

  • ISBN: 978-1-4244-9755-3